Directed Self-Assembly (DSA) Nanolithography Market - Forecasts From 2025 To 2030
- Published : Jun 2025
- Report Code : KSI061617551
- Pages : 142
The directed self-assembly (DSA) nanolithography market The directed self-assembly (DSA) nanolithography market is anticipated to expand at a high CAGR over the forecast period.
As chipmakers strive to achieve greater patterning capabilities beyond the limits of existing photolithography, the Directed Self-Assembly Nanolithography (DSA) market is starting to take off. DSA takes advantage of the self-organisation of block copolymers into predictable nanoscale structures, in conjunction with a defined template, achieving feature sizes smaller than 20?nm while also providing lower cost and higher throughput than multifactor methods for patterning. DSA is being integrated with EUV lithography, where DSA is better suited to limiting line roughness and defectivity that arise at sub-21?nm nodes; lower exposure doses up to 60?per cent while improving the resolution for SF/BD's 3D EM simulations. Data presented in this report highlights that leading semiconductor companies and researchers are currently piloting DSA using 2?nm and future process nodes; also, that many consortia from academia to fabs are working on material and pattern transfer flows for the industry as a whole. With increasing industry adoption and strong technical momentum, DSA represents an exciting investment vehicle in the next wave of advanced patterning technologies.
Directed Self-Assembly (DSA) Nanolithography Market Overview & Scope
The directed self-assembly (DSA) nanolithography market is segmented by:
- By Type: The market is segmented into graphoepitaxy and chemoepitaxy. Graphoepitaxy uses preetched topographical templates in the form of trenches to direct block copolymers into specific patterns at the nanoscale; also, graphoepitaxy is ideal for line?space pitch multiplication and via holes in semiconductor wafers. The approach works remarkably well with high pattern fidelity within narrow trenches by combining physical confinement with substrate chemistry. Graphoepitaxy is particularly useful for applications that rely on dense, regular array patterns, a technique which has been well studied for sub-10 nm fin structures and contact?hole scaling in advanced logic devices.
- By Application: The market is segmented into semiconductor packaging, flexible electronics, 3D integrated circuits, LED and display technology, and others. Directed self-assembly provides solutions to achieve high?density interconnects between stacked chips. Using chemoepitaxy or graphoepitaxy, self?assembling polymers are directed to self?assemble via narrowly defined vias and trenches at fine pitches, down to sub?7 nm, supporting efficient electrical and thermal paths between logic and memory layers. Importantly, directed self?assembly has guaranteed wafer-scale transfer processing to circumvent the expense and complications of multi?patterning processes in advanced packaging.
- By End-User: The market is segmented into consumer electronics, health care devices, industrial, aerospace, defence, and others. Directed self-assembly provides significant value in industrial electronics needing cost-effective nanoscale features for sensors and MEMS devices. The ability to use directed self-assembly techniques to reproduce patterns at a low cost makes it appealing for smart manufacturing and IoT devices.
- Region: Geographically, the market is expanding at varying rates depending on the location. Asia?Pacific is expected to lead DSA development with pilot integration projects in Japan, Korea, and China, driven by university and fab partnerships targeting sub?10 nm patterning and IoT applications.
Top Trends Shaping the Directed Self-Assembly (DSA) Nanolithography Market
1. EUV?DSA Synergy for Sub?10?nm Patterning
- By combining DSA with EUV lithography, our members realised sub-10?nm resolution while during production, EUV doses were lower by ~30-50%, and automatically reduced line roughness and stochastic related defects for critical layers.
2. Grapho? and Chemo?epitaxy Adoption for High CDU
- Leading tools for both grapho- and chemo-epitaxy have adopted dual DSA approaches for work-blocks to guide the assembly of block copolymers for critical dimension uniformity better than within 0.5?nm and spatial defectivity 100× lower than complete random self-assembly.
Directed Self-Assembly (DSA) Nanolithography Market Growth Drivers vs. Challenges
Drivers:
- Enabling Sub?10?nm Patterning Beyond Photolithography Limits: DSA enables the semiconductor industry to achieve sub-10 nm patterns by employing block copolymers that self-assemble to form nanoscale features with the assistance of topographical or chemical templates. Unlike traditional photolithography, DSA can consistently double pattern density at a lower cost, through fewer lithography steps, and with added process complexity and defectivity potential. DSA is now recognised in the International Roadmap for Devices and Systems (IRDS) as a category that can be deployed with EUV at sub-5 nm node feature scales. As manufacturers pursue semiconductor scaling ambitions, the potential cost reduction, improvements to throughput, and performance advancements are encouraging rapid utilisation of DSA for advanced logic and memory applications as they explore the potential benefits of DSA for future device manufacturing practices.
- Integration with EUV Lithography for Yield and Process Efficiency: The coupling of DSA with EUV lithography improves resolution while reducing the EUV dose by 60%, which means an improvement in overlay and line edge roughness. It also addresses EUV sustainability issues through DSA-assisted tone control with patterned regularity as EUV grows in its standardisation of high-NA tooling. The impact of high-NA EUV can be countered using a DSA solution by mitigating stochastic defects, which translates into improved cost of ownership. The successful combination of DSA and EUV lithography provides manufacturing fabs with much-needed solutions to satisfy the anticipated higher demand for AI chips and quantum computing chips without sacrificing yield and product optimisation; this establishes DSA’s potential strategic significance in near-term nanolithography roadmaps.
Challenges:
- Pattern Defects and Placement Accuracy: Issues with defects such as missing or bridged features arise with directed self-assembly due to guide mismatch, impurities on the substrate or deficiencies in the polymer chemistry. Control of defects and placement accuracy is still difficult.
- Limited Pattern Types and Metrology Difficulties: Directed self-assembly provides most success for regular line/space or hole arrays and does not easily support arbitrary layouts. Directed self-assembly lacks fast automated metrology tools that allow the inspection of complex patterns or closely spaced circuits.
Directed Self-Assembly (DSA) Nanolithography Market Regional Analysis
- Europe: Europe has established a strong foundation in directed self-assembly nano-lithography through collaborative partnerships between industry and academic organisations. The PLACYD project, led by Arkema in partnership with CEA Leti, STMicroelectronics, ASML and Intel, is developing scalable block copolymers and corresponding integration processes for patterning sub-ten-nanometer feature sizes. These efforts are directed towards enhancing line edge roughness and defectivity while remaining compatible with existing tools in semiconductor manufacturing. There is also some infrastructure constructed, such as the CSIC Barcelona node under the NFFA Europe initiative that is focused on directed self-assembly for large area lithography in nanoelectronics and photonics applications. The projects mentioned represent that Europe is continuing to advance lithographic innovation and support the transition of laboratory demonstrations into large-scale industrial patterning of advanced chips and nano devices.
Directed Self-Assembly (DSA) Nanolithography Market Competitive Landscape
The directed self-assembly (DSA) nanolithography market is competitive, with a mix of established players and specialised innovators driving its growth.
- Company Collaboration: ASML & IMEC (2025): In March 2025, ASML and research powerhouse IMEC signed a five-year strategic partnership to deploy state-of-the-art EUV systems, including 0.55 NA high-NA EUV, 0.33 NA standard EUV, DUV immersion and precision metrology systems, in IMEC's advanced NanoIC pilot line. This partnership helps strengthen Europe's ability to develop and scale sub-2 nm patterning, including hybrid DSA + EUV flows along with high-precision pattern transfer processes.
- The U.S. 2025 NNI budget proposal is stating a record $2.2 billion to establish nanoscale research as a priority across 12 federal agencies. The funding is for foundational and application-based nanofabrication technologies, including block copolymers and directed self-assembly into translational R&D, pilot facilities and public-private partnerships.
Directed Self-Assembly (DSA) Nanolithography Market Segmentation:
By Type
- Graphoepitaxy
- Chemoepitaxy
By Application
- Semiconductors
- Integrated Circuits
- Memory Devices
- Photonics and Sensors
By End-User Industry
- Consumer Electronics
- Telecommunications
- Automotive Electronics
- Industrial
By Geography
- North America
- Europe
- Asia Pacific
- South America
- Middle East & Africa
1. EXECUTIVE SUMMARY
2. MARKET SNAPSHOT
2.1. Market Overview
2.2. Market Definition
2.3. Scope of the Study
2.4. Market Segmentation
3. BUSINESS LANDSCAPE
3.1. Market Drivers
3.2. Market Restraints
3.3. Market Opportunities
3.4. Porter’s Five Forces Analysis
3.5. Industry Value Chain Analysis
3.6. Policies and Regulations
3.7. Strategic Recommendations
4. TECHNOLOGICAL OUTLOOK
5. DIRECTED SELF-ASSEMBLY (DSA) NANOLITHOGRAPHY MARKET BY TYPE
5.1. Introduction
5.2. Graphoepitaxy
5.3. Chemoepitaxy
6. DIRECTED SELF-ASSEMBLY (DSA) NANOLITHOGRAPHY MARKET BY APPLICATION
6.1. Introduction
6.2. Semiconductors
6.3. Integrated Circuits
6.4. Memory Devices
6.5. Photonics and Sensors
6.6. Others
7. DIRECTED SELF-ASSEMBLY (DSA) NANOLITHOGRAPHY MARKET BY END-USER INDUSTRY
7.1. Introduction
7.2. Consumer Electronics
7.3. Industrial
7.4. Telecommunications
7.5. Others
8. DIRECTED SELF-ASSEMBLY (DSA) NANOLITHOGRAPHY MARKET BY GEOGRAPHY
8.1. Introduction
8.2. North America
8.2.1. By Type
8.2.2. By Application
8.2.3. By End-User Industry
8.2.4. By Country
8.2.4.1. USA
8.2.4.2. Canada
8.2.4.3. Mexico
8.3. South America
8.3.1. By Type
8.3.2. By Application
8.3.3. By End-User Industry
8.3.4. By Country
8.3.4.1. Brazil
8.3.4.2. Argentina
8.3.4.3. Others
8.4. Europe
8.4.1. By Type
8.4.2. By Application
8.4.3. By End-User Industry
8.4.4. By Country
8.4.4.1. United Kingdom
8.4.4.2. Germany
8.4.4.3. France
8.4.4.4. Spain
8.4.4.5. Others
8.5. Middle East and Africa
8.5.1. By Type
8.5.2. By Application
8.5.3. By End-User Industry
8.5.4. By Country
8.5.4.1. Saudi Arabia
8.5.4.2. UAE
8.5.4.3. Others
8.6. Asia Pacific
8.6.1. By Type
8.6.2. By Application
8.6.3. By End-User Industry
8.6.4. By Country
8.6.4.1. China
8.6.4.2. Japan
8.6.4.3. India
8.6.4.4. South Korea
8.6.4.5. Taiwan
8.6.4.6. Others
9. COMPETITIVE ENVIRONMENT AND ANALYSIS
9.1. Major Players and Strategy Analysis
9.2. Market Share Analysis
9.3. Mergers, Acquisitions, Agreements, and Collaborations
9.4. Competitive Dashboard
10. COMPANY PROFILES
10.1. ASML
10.2. IMEC
10.3. Intel
10.4. Samsung Electronics
10.5. IBM
10.6. Applied Materials
10.7. Tokyo Electron
10.8. JSR Corporation
10.9. Brewer Science
10.10. Arkema
11. APPENDIX
11.1. Currency
11.2. Assumptions
11.3. Base and Forecast Years Timeline
11.4. Key benefits for the stakeholders
11.5. Research Methodology
11.6. Abbreviations
ASML
IMEC
Intel
Samsung Electronics
IBM
Applied Materials
Tokyo Electron
JSR Corporation
Brewer Science
Arkema
Related Reports
Report Name | Published Month | Download Sample |
---|